中金:全球半导体行业供需格局巨变,中国EDA产业迎来发展机遇期

作者: 中金研究 2021-07-06 08:27:08
中国EDA产业发展有望迎来国产化和技术变革的双重机遇,成长可期。

全球半导体行业供需格局正发生巨变,后摩尔时代的技术革新挑战与机遇并存。从产业链视角深度剖析EDA产业的发展脉络后,我们认为,中国EDA产业发展有望迎来国产化和技术变革的双重机遇,成长可期。

摘要

EDA工具在半导体产业发挥关键作用,商业模式铸就了较高的行业壁垒:EDA工具广泛应用于集成电路设计、制造、封装等各环节,在整个半导体行业中扮演关键的基础性角色。由于贯穿芯片设计和制造的全流程,EDA工具形成了“定期授权+技术服务”的特色商业模式。从产业链视角来看,我们认为,与晶圆厂的制程工艺深度绑定是EDA行业壁垒不断升高的核心因素之一,在先进制程芯片的设计和制造中,该特点体现得尤为明显。

国内芯片设计行业的蓬勃发展为中国EDA行业提供了肥沃的成长土壤:近年来,我国集成电路设计企业的数量大幅增长,为我国EDA行业带来了巨大的市场空间。中国半导体行业协会统计,2020年中国集成电路设计业销售额为3778亿元人民币,同比增长23.3%。赛迪智库指出,2020年全球EDA市场规模约72.3亿美元,国内市场约45亿元人民币,预计到2023年我国EDA市场规模将超60亿元人民币[1]。

以史为鉴,国产EDA迎来发展机遇期:回顾历史,全球EDA龙头企业在所在国家政策扶持下,通过高强度研发投入和频繁的企业并购,最终在全球市场形成了寡头垄断格局。国内EDA的发展过程固然坎坷,但星星之火从未熄灭。近年来受益于政策和资金支持,并叠加国产化加速大背景,国内已经涌现出一批优秀的EDA厂商,在部分全流程、点工具实现了突破。

后摩尔时代EDA工具行业迎来新的机遇和挑战:全球数字化转型正带来新一轮算力需求爆发,后摩尔时代芯片设计成本普遍大幅增长,差异性竞争使得系统厂商对芯片定制化需求不断增加。EDA工具在面临新的挑战的同时,也迎来了EDA2.0时代的发展机遇,未来开放化和标准化、自动化和智能化、平台化和服务化的发展路径将成就中国EDA行业的广阔前景。

在半导体国产化加速背景下,国内EDA设计工具行业迎来历史发展机遇期,建议关注已在部分全流程或点工具领先的国内EDA厂商。

风险

技术突破不及预期;市场拓展不及预期;行业竞争加剧;贸易摩擦加剧。

正文

EDA设计工具是撬动半导体行业的支点

原理:EDA工具在复杂的芯片设计中起到关键作用

EDA是电子设计自动化技术(Electronic Design Automation)的简称,EDA融合了信息处理、微电子技术、计算机技术等,以实现电子产品的自动设计,EDA设计工具广泛应用于集成电路设计、制造、封装各环节。EDA设计工具发展至今,可用于超大规模集成电路的逻辑设计、验证、物理设计等流程,其产物直接用于芯片制造。从集成电路设计到PCB设计,从电路设计到功能验证,从版图设计到再仿真,实现了电子设计的跨场景、跨流程应用。

芯片设计按照电路类型可以分为模拟电路设计和逻辑电路设计,两者在设计流程和工程师要求上有所差别,但基本流程类似。模拟芯片非理想效应多设计更复杂,扎实的模拟电路基础和设计经验不可或缺;数字芯片规模大工艺多需要多个专业团队协作完成。模拟芯片和数字芯片从原理到功能都存在诸多不同,模拟芯片主要处理模拟信号,细分种类繁多;数字芯片则偏重进行逻辑运算,规模往往较大且集成度高,所涉及的工艺流程也更复杂。对应到设计工作中,模拟芯片设计需考虑各种寄生因素,人工水平要求高而自动化程度相对较低,布局布线等工作依赖版图师的经验;数字芯片设计中通常利用Verilog等程序语言进行描述,可移植性强,EDA工具自动布局布线也可以满足设计需求。在一个复杂电路系统中,数字电路往往是运算和控制中心,模拟电路则构成外围的支持模块;数字工程师的工作重心在系统架构,而模拟工程师则把更多注意力放在具体物理实现上。但两者的原理流程类似,通常分为前端设计和后端设计。

图表:EDA工具的使用贯穿整个芯片设计和制造流程

资料来源:中金公司研究部

数字电路的设计步骤及团队结构

数字电路设计主要分为前端逻辑设计和后端物理设计,团队角色也通常分为前端设计师和后端设计师。前端设计与后端设计以生成的门级网表为分界线,两者之间没有统一的明确界限。前端设计师通常以芯片架构为起点,以网表为终点,主要满足电路功能和逻辑的设计和验证,其中还涉及前端验证工程师、架构工程师、DFT工程师等配合完成工作;后端设计师以布局布线为起点,以生成可以送交晶圆厂进行流片的GDSII文件为终点。

图表:典型数字电路设计团队结构

资料来源:CSDN,芯职业,中金公司研究部   注:团队构成仅表示大致比例,实际情况因设计规模而异

数字电路的前端设计

数字电路的前端设计(也称逻辑设计)以架构为起点,最终生成功能正确且特性满足的芯片门级网表(Gate-Level Netlist),用逻辑电路实现预期规格,侧重逻辑功能,主要包括设计和验证两部分。具体流程包括:系统总体规划、模块设计、顶层模块集成和顶层功能模块验证、逻辑综合、形式验证、静态时序分析、可测性时序插入等。

数字电路的后端设计

数字后端设计(也称物理设计)是指将前端设计产生的门级网表,通过EDA设计工具,以布局布线为起点,进行物理验证,最终产生可以直接交付给工厂的物理版图。后端设计重视布局和模拟,主要包括工艺上实现和流程。具体流程包括:版图物理规划、功耗分析、单元布局与优化、时钟树综合、布线、信号完整性分析、寄生参数提取、物理验证等。

模拟电路设计流程及团队结构

模拟电路是用来产生、放大和处理各种模拟信号的电路,相较于逻辑芯片工程师分工明确,模拟电路设计对于工程师的长期实践经验要求较高,因此模拟电路设计全流程通常由一组工程师承担,导致前端和后端界限模糊,但也可将其设计流程分为两大部分,即前端的功能设计和后端的物理实现。

图表:典型模拟电路设计团队结构

资料来源:CSDN,芯职业,中金公司研究部   注:团队构成仅表示大致比例,实际情况因设计规模而异

模拟电路前端功能设计主要指设计要求与性能参数的逻辑化过程。首先,确定系统输入输出关系,定义系统功能,规范时序、面积、功耗、信噪比等参数范围,完成目标量化的第一步。进而通过模拟仿真评估电路应用的性能,确定电路工作的区间和限制,在重复验证与结果改进中优化电路逻辑功能结构。

当电路性能的仿真结果满足设计要求,模拟电路设计流程进入后端电路版图设计阶段,即定制满足工艺要求的物理版图。物理版图作为电路相关参数与实物模型的桥梁,实现了设计电路向图形描述格式的转换。经历物理层验证、计算机仿真验证与改进等环节后,最终实现IC设计版图的实物制造。

商业模式:与产业链深度绑定铸就行业壁垒

EDA设计工具的使用贯穿集成电路设计和制造的全环节,与集成电路行业的运作方式结合,形成了具有集成电路行业特色的商业和销售模式。国际主流EDA工具厂商的商业模式包括“定期授权+技术服务”,以及面向高校、科研院所推广的教育应用。其中“定期授权”源自于晶圆厂PDK(Process Design Kit 工艺设计工具包)的定期更新。EDA厂商对客户每一期的EDA工具租赁时长普遍在2~3年左右,因此EDA工具厂商的经营情况波动通常小于整体行业,以2019年为例,全球半导体行业销售额受存储器价格影响大幅下降,但EDA行业增速依然达到了6%。但是大客户与晶圆厂新一期订单对部分企业销售收入也会对单个公司的经营造成周期性的影响。

图表:EDA设计工具与产业链紧紧捆绑

资料来源:中金公司研究部

图表:EDA行业波动小于半导体整体市场

资料来源:ESDA,WSTS,中金公司研究部

跟随工艺进步而更新的晶圆厂PDK是EDA工具行业壁垒不断加高的核心因素,在使用先进制程制造的芯片的设计中,这种优势尤为明显。半导体行业发展至今,基础元件电气参数的细微变化,叠加先进制程的超高晶圆加工密度都将使得宏观表现出现巨大改变。日益增加的流片成本更加凸显了仿真准确度的重要性,晶圆厂第一手的数据包是保证EDA仿真结果和设计、布线合理性的坚实保障,而这一深度绑定的关系既是现阶段的合理技术要求,同时也是客户的高良率需求对上游产业链的倒逼。

EDA与晶圆代工的深度绑定是集成电路制造工艺不断发展的自然结果。晶圆厂在布局早期工艺研发的过程中,势必要进行器件、简单功能芯片等基础级别组件的设计,此时代工厂基于EDA设计平台支持进行先进工艺研发,EDA厂商更会进一步免费协助晶圆厂设计满足其需求的基础IP, 各种规模、功能的IP同时也较大地扩充了EDA厂商的IP库,进一步增加了对客户的吸引力,实现上下游的双赢;进入芯片设计的后端流程后,物理验证会贯穿并频繁往返于后端设计的全流程,晶圆厂的PDK,尤其是验证文件的更新非常频繁,这一特征在先进制程新工艺中尤为突出,随着各种测试片、量产版的测试反馈,代工厂会不断优化器件模型和设计规则,通过迭代让良率达到最高,更新频次可高达每月一次。下游晶圆厂商和上游EDA厂商的深度信用绑定,简化了半导体产业链设计生产流程的同时进一步深化了行业壁垒,其中,举例来看,Siemens EDA的功能、物理验证产品,Ansys的功耗分析工具,已然成为全球所有代工厂的公认标准。

图表:芯片设计规模与成本的快速上升

资料来源:芯华章《EDA2.0白皮书》,DARPA,中金公司研究部

图表:PDK中包含了大量的工艺及设计数据

资料来源:OFweek,中金公司研究部

与高校、科研院所的绑定使得EDA工具公司在客户基础上拥有先发优势。在芯片设计从业者进入企业工作之前,已经在学习阶段熟悉了这些工具的操作和应用,因此在实际工作中也会更倾向于使用这些工具,所以使得这些公司在潜在客户基础上获得了先发优势。例如,Synopsys刚进入中国市场的时候赠送给了清华大学一套EDA设计工具,此举对当时国内的芯片设计的教学起到了较大的推进作用,同时也为Synopsys提供了人才输送和未来客户基础。

总量:EDA市场规模及区域分布

根据赛迪智库,2020年全球EDA行业市场规模约为72.3亿美元。相比于全球半导体行业近5000亿美元的规模,EDA行业的规模非常小,但其重要性非常高,与设备、材料共同构成了半导体产业的上游基础。全球数字经济改革带来了半导体行业规模的迅速扩大,从区域分布看,全球EDA行业市场区域组成与集成电路产业的产值分布一致。北美凭借其强大的半导体设计、IDM产业基础,一直以来占有关键的EDA市场份额,2020年北美地区EDA市场规模约为29.6亿美元,占比41%。值得注意的是,随着中国及周边国家和地区半导体产业的发展,亚太地区EDA市场规模在2020年超过北美,达到了30.4亿美元,市场占比从2018年的39%提升到了42%。另外,欧洲市场相对稳定,占比在17%左右浮动。

反观国内EDA市场,根据赛迪智库,2020年我国EDA设计工具、IP、和服务总市场销售额达到了73亿人民币,其中约45亿元人民币为EDA设计工具销售收入额。赛迪智库预计,到2023年,我国EDA/IP/Service总市场将达到100亿人民币,其中EDA市场将达到62亿人民币。根据2020年中国集成电路设计业年会,自2016年以来,我国集成电路设计企业的数量大幅增长,2020年末已超2200家。另据中国半导体行业协会统计,2020年中国集成电路产业销售额为8848亿元,同比增长17%。其中,设计业销售额为3778.4亿元,同比增长23.3%;制造业销售额为2560.1亿元,同比增长19.1%;封装测试业销售额2509.5亿元,同比增长6.8%。国内半导体产业的蓬勃发展给我国EDA产业带来了巨大的市场空间,在吸引外部企业来华的同时也给国内EDA企业成长提供了肥沃的土壤。

图表:我国芯片设计公司数量

资料来源:  2020中国集成电路设计业年会,中金公司研究部

图表:我国EDA市场规模

资料来源:赛迪智库,中金公司研究部

以史为鉴,国产EDA迎来发展机遇期

EDA工具的商业模式、用户粘性、上下游绑定的特点决定了行业集中度必然不断提高。从上个世纪80、90年代开始,EDA行业经过了30余年的整合发展,全球EDA工具市场呈现出了明显的寡头垄断特征。根据赛迪智库,2020年全球EDA工具市场中前三大公司占到了77%以上,前五大控制了约85%的市场。近三年来,在优势工具的巩固下,除市场前三的EDA工具企业外,其他企业缺少布局设计全流程工具技术的综合实力,各企业均在各自擅长的领域开发面向特定流程或个别环节的工具产品,占据剩余市场份额。

中国市场与国际市场相似,同样呈现出个别企业高度垄断的特征。近三年,市场前五大企业的市占率在86%左右,其中Synopsys,Cadence,Siemens EDA同样为我国前三大EDA工具供应商。其中,根据赛迪智库,2020年在我国66.2亿元的EDA市场中,前五大供应商占有85.4%的市场份额。

图表:2018-2020年全球及我国EDA工具市场竞争格局

资料来源:赛迪智库,中金公司研究部   注:从里至外为2018-2020年

全球主要公司的做法和行业发展趋势

高强度研发投入

EDA下游IC设计、制造业技术的快速迭代对EDA功能的升级和创新提出了较高要求,因此EDA厂商长期保持着高强度的研发投入以维持技术领先。即使归母净利率存在波动,Synopsys、Cadence和Mentor近十年研发费用率长期保持在30%以上,甚至在部分年度超过40%。以Synopsys为例,公司的研发费用在2020年高达12.79亿美元,其全球15036名员工中约80%为工程师。

频繁的企业并购

IC设计的流程复杂、环节众多,应用于不同环节的EDA工具在功能、技术上均有差异,EDA厂商往往难以单凭研发实现IC设计全流程的功能覆盖,并购是EDA厂商在实现单点突破后外延能力圈、补齐产品矩阵的常用手段。三十余年来,EDA“三巨头”合计发起了超百次并购交易,也正是多年的并购使得EDA行业形成当前的寡头垄断格局。截至2020年,Synopsys、Cadence的商誉分别为33.65亿美元、7.82亿美元,占总资产比例分别为41.9%、19.8%,高商誉即为EDA龙头频繁并购的直接结果。

大力度政府支持

政府对研发的资金和政策支持能驱动EDA基础研究的进步和前沿技术的创新。根据IEEE团队的统计数据,美国国家科学基金(NSF)在1984年至2015年间共支持了1190个与EDA强相关的研究课题,NSF对EDA研究的投资额度在每年800万美元到1200万美元之间波动。半导体研究共同体(SRC)则接力NSF进一步研究前沿技术的应用,一方面与美国国防部高级研究计划局(DARPA)联合投资大学研究中心网络,重点研究下一代微电子技术,另一方面通过与美国国防部以及工业界缔结联合投资机制,每年将大约2000万美元的资金投向EDA研究领域。NSF和SRC的交互配合在一定程度上帮助基础研究跨越“创新死亡谷”实现产业应用。

Synopsys历史及主要产品

Synopsys成立于1986年,30多年来在芯片设计、验证、IP模块、软件安全等领域发起了近百次并购。公司于1990年第一次通过并购获取了Zycad的VHDL仿真业务,于1995年进入中国市场。2002年并购Avanti后,Synopsys成为第一家可以提供前后端完整IC设计方案的EDA工具供应商。2008年,Synopsys超越Cadence成为全球排名第一的IC设计与验证平台,并一直保持领先地位。2021年6月26日,Synopsys宣布收购BISTel半导体和平板显示解决方案,进一步拓展其行业领先的半导体制造流程控制解决方案,帮助客户更好地控制良率,提高生产质量和效率。另外,根据Ipnest,在IP核领域Synopsys已经是接口IP的全球领导者。

图表:Synopsys 产品平台

资料来源:Synopsys,中金公司研究部

Cadence历史及主要产品

Cadence于1988年由SDA与ECAD两家公司合并而成,1992年进入中国大陆市场,此后在北京、上海等地建立了分公司及研发中心,并于2008年将亚太总部设立在上海。Cadence于1990年收购Gateway Design Automation,将硬件描述语言Verilog引入公开应用领域,此后经过数十次的并购交易和自主研发,实现了IC设计的全流程覆盖。Cadence于1992年已占据EDA行业龙头地位,但于2008年被Synopsys 超越,目前稳居行业第二。Cadence 的工具主要集中在模拟电路,PCB,FPGA工具,在全定制设计中Cadence的Virtuoso工具较为强大。

图表:Cadence产品平台

资料来源:Cadence,中金公司研究部

Siemens EDA 历史(前Mentor Graphics)

Mentor Graphics创立于1981年,于1989年率先进入中国市场。Mentor于1983年第一次发起并购加州自动化设计公司CADI,发布交互式模拟仿真工具MSPICE,并开始发展海外市场,在80年代稳居板级设计EDA市场第一名。到90年代,由于Mentor Graphics 8.0性能不及预期和Synopsys、Cadence的竞争,公司营收于1991年被Cadence反超,面临一定经营困难。1994年公司组织结构经过大幅调整后进入稳定发展期,进行了数十次并购以实现产品和功能外延,最终于2016年被西门子以45亿美元收购,现更名为Siemens EDA。Siemens EDA的产品虽然没有前两家齐全,但是在PCB设计中占有一席之地。

国产EDA产业的坎坷之路与星星之火

国内EDA厂商发展的坎坷之路

1986年全国17个单位的200多名专家聚集北京集成电路设计中心,开发出第一款国产EDA并将其命名为“熊猫系统”,该系统原型在90年代初期成功面世,并获得了国家科技进步一等奖。同一时期,Cadence、Synopsys等国外厂商陆续进入国内,并以产品降价和在华设立办事处等方式快速开拓市场。得益于较强的产品竞争力,国内IC设计企业纷纷转投国外设计工具。同时国外厂商进一步与国内高校合作,将其产品低价甚至免费引入高校课堂,覆盖了教育市场并培养了一批熟悉国外EDA工具的设计师,也为此后的市场地位打下了基础。面对海外厂商强有力的攻势,刚刚诞生的国产EDA迅速沉寂,开始了长达十几年的发展静默期。

因此,国内EDA产业也存在较多的短板,主要是前中期受外部竞争者挤压后政策和资金支持不足,研发投资欠缺;国内教育体系相对薄弱,受就业市场不景气带来的负反馈,相应的人才流失也较为严重;我国晶圆代工厂所面临的技术研发压力和攻关难度同样巨大,落后的制造产业链同样限制了国内EDA工具配套发展;另外,芯片设计厂商和晶圆厂对EDA工具先行者的高度客户粘性也为国内EDA工具市场拓展带来了不小的阻碍。

国内EDA厂商的星星之火

国内EDA的发展过程固然坎坷,但星星之火从未熄灭。近年来国家大力支持叠加资本的涌入,EDA厂商年均成立数量呈上升趋势,其中有从高校、院所转型的科研人才,也不乏从海外公司辞职,带领团队回国创业的老将。

作为耕耘历史最悠久的本土公司,华大九天承接了“熊猫EDA”的“衣钵”,经过了数十年的技术积累和市场开拓,已经在模拟和定制芯片领域形成了较为完整的综合工具,在某些单点工具和平板显示全流程设计已经具备国际竞争力。北京超逸达成立于2019年,主要由国内高校教授牵头成立,从事先进工艺的2.5D和3D寄生电阻电容提取。概伦电子由Cadence全球副总裁刘志宏先生于2010年回国创建;2020年3月,王礼宾先生辞去了Synopsys中国区副总经理一职并创立了芯华章;芯禾科技(现已使用“芯和”品牌)联合创始人代文亮先生曾任Cadence上海全球研发中心高级技术顾问;博达微创始人李严峰先生曾在Cadence和PDF Solutions任研发职位。

根据芯思想统计,自2018年开始每年净新增EDA公司数量都在4家,2020年我国EDA公司总保有量达到了28家。从融资层面看,2020年融资活动较2019年活跃度提高,且融资次数超过了融资企业数量。

图表:我国EDA年度公司保有量

资料来源:芯思想,中金公司研究部

图表:我国EDA公司年度完成融资次数和企业数量

资料来源:芯思想,中金公司研究部   注:2021年为1-2月数据

除了华大九天,我国EDA公司已经在逐步实现多点突破,由点到面的局势。其中,概伦电子属于在国产EDA公司中少数在“点工具”上达到国际一流水准的公司,公司还够提供高端半导体器件建模、大规模高精度集成电路仿真和优化、低频噪声测试和一体化半导体参数测试解决方案,客户群体覆盖绝大多数国际知名的集成电路设计与制造公司;

芯华章瞄准了国内技术空白,市场容量最大、芯片设计成本占比最高的数字集成电路前端设计与验证领域。在已有的EDA技术人员和知识积累的基础上,计划以人工智能、机器学习、大数据分析引擎从底层改造数字集成电路验证EDA技术,重塑数字EDA验证工具构架,逐步实现包括RTL仿真到硬件加速的数字集成电路验证领域EDA工具全覆盖;

国微集团通过收购和入股布局EDA多项流程。国微集团于2018年承接01专项核高基EDA科技专项进入EDA产业,同年收购的思尔芯拥有国内领先的FPGA原型验证技术,并通过参股深圳鸿芯微纳技术有限公司进入EDA后端布局布线领域。国微集团目前在原型验证和布局布线两个点上具备较强实力,是国内唯一一家在数字后端有成熟布局布线解决方案的国产EDA公司;

另外,面向晶圆制造的全芯智造可以提供掩膜校准、工艺器件仿真、计算光刻等服务,珂晶达提供器件仿真与分析的TCAD服务;

模拟电路方面北京超逸达可提供寄生参数提取服务;蓝海微依托于国际主流平台提供定制化服务;芯和半导体和九同方主要从事射频和晶体管仿真服务。

图表:国内主要已覆盖工具厂商及对应环节

资料来源:各公司官网,半导体行业观察,中金公司研究部

图表:国内主要EDA公司的介绍

资料来源:半导体行业观察,各公司官网,中金公司研究部

后摩尔时代EDA工具行业迎来新的机遇和挑战

后摩尔时代,芯片制程进步放缓,芯片设计面临大幅增长的成本,多样的数据和计算需求使得系统厂商对芯片有了更多的定制化需求,系统设计、人工智能、EDA工具逐渐融合。在面临诸多挑战的同时,EDA工具也迎来了历史发展机遇,芯华章在《EDA2.0 白皮书》中对EDA未来发展提出了标准化开放化、自动化智能化、平台化服务化的展望。我们认为,我国拥有数量较多的芯片设计公司,为EDA工具的发展提供了一片沃土,产业与学界共同推进EDA开源,可观的数据为人工智能与EDA的结合提供了基础,充足的云计算资源可以为芯片设计所用,在追赶先进技术的过程中,这些技术创新、商业模式创新也为我国EDA产业创新带来了新的机遇。

图表:后摩尔时代芯片设计面临诸多挑战也给EDA工具带来了发展机遇

资料来源:Cadence, 芯华章《EDA2.0白皮书》,中金公司研究部

摩尔定律放缓和系统应用需求分化使得芯片更偏向于定制化。在过去的几十年间,紧跟摩尔定律,通用处理器芯片的性能在工艺和架构的改进中不断获取性能的提升,但是近年来制程工艺的进步缓慢,单位面积晶体管增加的所需边际设计和制造成本呈指数型上升,导致通用处理器的综合性能提高越来越缓慢。而为了推动AI、云服务器、智能汽车、5G、工业控制等未来的技术和产品,终端应用系统公司对芯片的要求不再局限于综合处理性能,而是将关注点放到了特定方向算力、功耗、数据接口、安全性、成本、集成度等方面。因此,我们过去已经习惯看到嵌入式系统有大量的定制soc一样,桌面电脑、云计算和服务领域同样会诞生更多的定制化芯片,而过去芯片厂商主导普通芯片发展的步伐不一样的是,定制芯片的发展将主要由应用系统厂商直接主导。另外,除了主导定制芯片的设计,部分厂商开始利用自身财力和人力进行芯片自研。

后摩尔时代的集成电路设计和制造以及新应用领域的涌现对EDA工具提出了新的需求也打开了更大的市场空间,在原有基础上也渐渐发展出了新的商业模式。赛迪顾问指出,后摩尔时代的集成电路技术演进方向主要包括延续摩尔定律(More Moore)、扩展摩尔定律(More than Moore)以及超越摩尔定律(Beyond Moore)三类。其中,面向延续摩尔定律(More Moore)方向,单芯片的集成的晶体管数量呈现爆发性增长,单颗芯片的设计所需的时间也相应的增长,因此对EDA工具的设计效率提出更高要求;面向扩展摩尔定律(More than Moore)方向,伴随逻辑、模拟、存储、射频等功能被叠加到同一芯片,即异构集成,EDA工具需具备对更强复杂功能设计的支撑能力和仿真能力;面向超越摩尔定律(Beyond Moore)方向,新工艺、新材料、新器件等的应用要求EDA工具的发展在仿真、验证等关键环节实现方法学的创新。芯华章在《EDA2.0白皮书》中将过去几十年EDA的发展总结为EDA1.0x,并将未来的EDA设计工具的核心目标定义为“基于开放工具和行业生态,实现自动化和智能化的芯片设计流程,并提供专业的软硬件平台和灵活的服务,以支持任何有新型芯片应用需求的客户快速设计、制造和部署自己的芯片产品,为“EDA2.0”。芯华章认为未来EDA发展主要有三个方向:开放化和标准化,自动化和智能化,平台化和服务化。

EDA工具将更加开放化和标准化

面对超大规模集成规模电路设计,业界有两种倾向:一是提高设计的抽象层次,降低设计的复杂度,例如行为级综合工具的出现;二是采用可复用的IP核,进行系统的集成。这些都对EDA工具和EDA设计过程、设计结果新的标准化问题。芯片复杂程度越高,对EDA的依赖也越高,EDA工具众多,如果缺乏EDA的底层技术及其接口的标准化,就不能很好地对设计结果进行交换、共享及重用。整体来看,很多EDA标准没有得到工具厂商的统一支持,各工具的私有接口和数据经常无法互通等问题,导致EDA流程比较封闭和碎片化,结果就是设计自动化和定制化很困难,第三方工具和算法模型也很难扩展。因为EDA流程封闭和非标准化,规模相对较小的EDA企业只能以单点突破,为设计公司提供的功能也相对单一。而为了生存下去,这些EDA公司就要主动兼容大厂的产品,这也就使得强者愈强,开放化和标准化有利于小规模企业参与竞争。

EDA工具的标准化和开放化主要包括:工具软件接口(API)更开放,数据格式开放或数据访问接口开放,EDA设计工具针对更多硬件平台的开放,芯片内外部的总线和接口标准化,商业EDA与开源EDA的结合,另外与EDA紧紧捆绑的IP核也需要标准化和开放化。芯华章认为,未来EDA产业的开放和标准化不仅仅由EDA厂商或标准化组织决定,而应该由产业链上游的EDA生态和下游的业界共同定义:从系统厂商、芯片设计、EDA、晶圆制造的全产业生态来共同制定开放的标准。

图表:EDA标准化和开放化

资料来源:芯华章EDA2.0白皮书,OpenEDA(EDA创新中心),中金公司研究部

开源EDA是支撑开放芯片生态的重要保障。开源EDA工具可以降低芯片设计门槛,如降低芯片设计的人力、IP、软件成本,还能为开展EDA领域的基础科学研究和人才培养,无论是对企业、高校、学术科研机构还是芯片和EDA方向的个人爱好者而言,都能提供很好的思路,如果开源EDA可以集合多方力量,将有助于我国加速追赶国际先进水平。

回顾过去的市场,以Linux和安卓为代表的开源系统在商业上获得了巨大的成功,此外,还有一些开源系统已经逐渐成为主流之一,这说明了开源系统的价值已经被市场所认可。以RSIC-V为首的开源架构也获得了众多支持者。另外,开源硬件被越来越多的应用到集成电路领域中,以实现芯片设计的复用和效率提升,而开源EDA在开源硬件的设计中扮演了十分重要的角色,支持着开源硬件的后续开发。因此,开发开源EDA不仅能够推动国产EDA产业的创新,在商业价值方面还有着很大的发展空间。

图表:国内的主要开源EDA社区

资料来源:芯华章,EDAGit,NiCEDA,OpenEDA,中金公司研究部

开源EDA作为一个创新方向,在我国依然面临着诸多挑战也带来了机会

OpenEDA指出,我国的开源EDA创新面临三个问题,其一是开源EDA工具质量相比商业工具差距较大,限制了用户数量;其二,内容贡献者同时需要具备计算机、数学和微电子方面的知识,但这类人才的基数较少,导致贡献者数量有限;其三,由于开源EDA框架结构不清晰,导致了代码不统一且复用率低,工具与算法绑定,设计新算法通常需要大量重写,使得开源EDA的推广和大规模使用受到了阻碍。另外,EDA的研发成本较高,完全采用开源模式会给企业盈利上的巨大压力,并不适用于目前的EDA商业模式。因此,开源EDA工具的开发和开源EDA社区的定位而应当是主要是通过引导各方力量积极参与EDA的研究和开发,加快国内EDA创新,并降低EDA使用门槛,为国内研发出具有突破性的、能与未来接轨的EDA设计工具和系统积蓄力量,并培养出符合企业研发能力和素质的人才。

AI推动EDA工具自动化和智能化

依托于AI技术,EDA将更加自动化和智能化,以期实现更少的人力、资源、时间投入设计芯片。过去使用EDA设计工具的过程中,芯片架构的探索,设计、验证、布局布线等耗费大量的人力,如果能将这些设计经验和数据交由机器来执行,并通过AI技术的学习能力辅助降低芯片设计门槛,提高设计效率,缩短芯片上市时间。AI技术与EDA设计工具的融合的探索自20世纪80年代开始,但运算能力、芯片性能等因素限制了AI与EDA的充分融合。近年来,伴随芯片设计基础数据量的不断增加、系统运算能力的上升,AI技术应用在EDA设计工具领域的算法和算力需求正在被更好地满足。此外,AI芯片自身的设计需求与AI辅助EDA设计工具设计相辅相成,形成良性循环。AI技术、深度学习(ML)与EDA方法学的融合是芯片设计的革命性的一步。

芯片设计是在各种约束条件下寻求巨大空间中的最优解,在寻求最优解时,AI技术是最重要的力量之一。在巨大的空间进行求解是一项非常费时费力的工作,在现有经验和系统知识的指导下仍需要数周的实验时间。此外,芯片设计流程往往会消耗并生成数TB的高维数据,这些数据通常在众多单独优化的孤岛上进行区分和分段。为了创建最佳设计方案,开发者必须获取大量的高速数据,并在分析不全面的情况下,即时做出具有挑战性的决策,这通常会导致决策疲劳和过度的设计约束。特别是在当今竞争激烈的市场和严格的芯片制造要求下,合格方案和最佳方案之间的差异可能意味着数百MHz性能、数小时电池寿命以及数百万美元设计成本的差距。

 商用市场在AI技术与EDA融合的道路上不断探索,目前主要有AI Inside和AI Outside两个方向:

AI Inside注重于EDA工具本身,应用AI算法赋能芯片设计,让工具更智能,让使用者获得更好的PPA(性能、功耗、尺寸)和更快的引擎,从而提升测试和诊断性能表现;

AI Outside注重于人,让机器通过学习的方式积累经验,减少人工干预,释放生产力。

 Cadence AI Inside 和 AI Outside 战略

AI Inidsie:在 Cadence 的 Innovus 工具中已经包括了基于机器学习的延迟预测功能。用户可以通过机器学习训练来创建一个特定于设计的延迟模型,一旦训练完毕,Innovus 便可以在实现流程中使用这种新的机器学习延迟模型。Cadence于2020年3月发布了基于机器学习引擎的更新版数字全流程工具,其中包括了用于优化空间的iSpatial技术,并通过机器学习使使用者可以利用现有的设计数据进行数据训练以期达到更高的设计效率。

AI Outside:Cadence 正在研究使用 Auto-ML 方法进行自动化流程优化,以提高工程师的工作效率。

图表:Cadence的iSpatial技术利用机器学习提高设计效率

资料来源:Cadence,中金公司研究部

 Synopsys 推出自主人工智能应用程序DSO.ai

Synopsys于2020年3月推出了自主人工智能应用程序——DSO.ai(Design Space Optimization AI),DSO.ai能够在芯片设计的巨大求解空间里搜索优化目标。通过对芯片设计流程选项的探索,能够自主执行次要决策,而芯片设计工程师可以进行更高阶的操作,从而提升整体的生产力。DSO.ai采用机器学习技术来执行大规模搜索任务,能够自主运行成千上万的探索矢量,并实时获取千兆字节的高速设计分析数据。DSO.ai引擎通过获取由芯片设计工具生成的大数据流,并用它来探索搜索空间、观察设计随时间的演变情况,同时调整设计选择、技术参数和工作流程,以指导探索过程向多维优化的目标发展。同时,DSO.ai可以自主执行如调整工具设置等次要决策,为开发者减负。

图表:Synopsys推出自主人工智能应用程序DSO.ai

资料来源:Synopsys,中金公司研究部

概伦电子推出人工智能驱动的参数自动提取平台SDEP

概伦电子推出的人工智能驱动的参数自动提取平台SDEP(Spec-Driven Model Extraction Platform),主要用于智能半导体器件模型全自动提取。旨在充分利用现代计算机算力及优化算法结合并传承器件模型提取经验和知识 Know-how 所建立的智能建模系统。SDEP 集成了数据分析和验证、模型参数自动提取优化功能,支持多种复杂先进的优化算法、参数过滤、模型验证和收敛控制等能力。在 SDEP 的平台上,模型专家可以借助强大而灵活的各功能模块,根据建模经验逐步定制模型参数提取流程进而建立一整套全自动建模流程解决方案。

图表:概伦电子推出的人工智能驱动的参数自动提取平台SDEP

资料来源:概伦电子,中金公司研究部

 AI赋能EDA面临的主要问题在于进行更大数据集的获取和提高应用的普适性

基于AI技术的EDA设计流程的重要要素之一是数据,只有收集到了足够的数据才能给予机器足够的训练,达到最好的效果并形成普适性,而EDA设计流程复杂,每个环节都会产生大量的数据,如何与工程师配合获取合适的数据是目前AI技术在EDA应用中需要解决的问题。而拥有大量芯片设计公司的中国半导体行业提供了客观的数据量,如何效运地用这些数据来训练AI模型,或会成为中国能否在下一代基于人工智能的EDA领域占据重要地位的核心要素。

另外,而随着芯片设计的规模扩大,模型趋于复杂,对于AI算力的要求不断增加,对于算力不足的小型芯片设计公司来说云上服务或将催生新的商业模式,即云技术+EDA。

云技术在EDA领域的应用日趋深入

EDA上云由芯片设计本身和云计算的本身演进共驱动,EDA工具享受云计算带来的便捷和成本降低的同时,云服务提供商也拓展了针对EDA工具的市场,类似于Saas的EDaas商业模式概念逐渐兴起。

芯片设计流程中,计算密集型任务对云服务的需求较为旺盛,如软/硬件仿真,签发/验证等,这样可以在业务高峰期按照实际需求租赁云计算资源,实现产品快速上市,缩短迭代周期。传统的EDA IT架构往往采用本地数据中心的形式,采用远程桌面分配给各个客户端,并自己部署授权管理、运算节点和共享文件存储。但在芯片设计变得愈发复杂,本地小型数据中心算力和存储这两块开始出现了瓶颈,芯片设计厂商要想继续拓展不仅成本大增,也难以达到云服务商的水平。因此不管是EDA设计工具商、IC设计企业以及代工厂,都开始追求EDA上云,全面交给云服务商部署托管或是采用混合云等方式。EDA上云的收费方式通常分为长期服务和短期租赁,长期服务通常是为了满足多区域协同设计,短期租赁则通常用于满足高峰需求。

EDA工具上云的尝试过去20年不断有厂商在推动,但是到今天为止实际应用范围还不够广泛,同时芯片设计本身也没有从EDA上云得到大幅的效率提升。这个现象背后有客户对数据安全的顾虑、EDA设计工具原有的架构难以最好地应用云端基础软件框架、EDA仿真和验证算法的限制、EDA厂商和云服务商没有针对芯片设计实际需求等多方面的原因。近年来,伴随相关技术方式的逐步成熟、用户使用习惯的改变,叠加应用云技术进行芯片设计研发方面的综合成本、效率优势,云技术正在EDA 领域获得快速发展。

图表:云上EDA网络结构创新

资料来源:电子发烧友,中金公司研究部

智能化的EDA流程很难完全自动实现芯片设计和验证过程,但EDA产品可以服务结合,实现EDA服务平台 EDaaS (Electronic Design as a Service),以支持应用厂商快速得到需要的芯片。芯华章认为,通过专业的服务团队和EDA工具模块的结合可以减少用户团队的芯片设计负担,提供专业的咨询或设计服务,也可以基于云平台和开放的数据进行定制化的服务,比如定制化工具接口、数据服务接口、定制AI模型、低代码定制模块等。

投资建议

目前,我国EDA厂商在部分类型的芯片设计和制造实现了全流程覆盖。在大部分点工具领域进行了布局,已经取得了一定的突破。产业链结合方面,国内晶圆制造正由成熟制程向先进制程发展,国产EDA设计工具在与国内制造厂商的协同下,将循着低端向高端、点工具向全流程的路径发展。随着国家政策支持力度加大,高科技产业投融资环境改善,我们认为,国内EDA产业有望吸引和培养更多的人才,国产EDA企业在研发资金投入上也将得到持续有力的支持。

摩尔定律放缓、算力需求提升、芯片设计优化等因素的推动下,云技术、AI技术等的快速发展使得EDA、芯片设计和系统设计加速融合,全球半导体行业格局正发生着巨大的变革,国产EDA设计工具厂商在追赶的同时,也可在现有基础上充分利用新技术,打开新的市场空间。

我们认为,国内的EDA厂商迎来蓬勃发展机遇期:一是在半导体国产化加速的背景下,下游客户使用国产EDA工具的意愿明显增强,为国内EDA厂商提供了最为关键的入场券。二是随着EDA2.0时代的到来,国产EDA厂商可以利用后发优势,灵活运用新技术更有效地满足客户的新需求。建议关注已在部分全流程或点工具实现突破和有较强研发实力的国内EDA厂商。

本文选编自“中金点睛”,作者:李学来、彭虎,智通财经编辑:张金亮。

智通声明:本内容为作者独立观点,不代表智通财经立场。未经允许不得转载,文中内容仅供参考,不作为实际操作建议,交易风险自担。更多最新最全港美股资讯,请点击下载智通财经App
分享
微信
分享
QQ
分享
微博
收藏